跳转至

开发指南

资料收集

驱动开发注意事项

VG5549SxxxNxS1、VG5574SxxxNxS1系列

该系列模块的芯片的GPIO0GPIO2已用于内部射频开关的控制,需要软件配置启动相应的功能即可。

Image 1

SI44xxSXS系列

该系列模块的TX(Pin13)和RX(Pin14)是用于控制模块内部的射频开关状态的,硬件上可以使用射频芯片的GPIO0连接TXGPIO2连接RX已用于内部射频开关的控制,需要软件配置启动相应的功能即可。

Image 1

或者通过MCU的IO口直接控制TX(Pin13)和RX(Pin14),控制时序如下:

模式 TX引脚 RX引脚
发送模式 H L
接收模式 L H
其他模式 X X

H表示高电平

L表示低电平

X表示任意电平